Tutorial VHDL 3: Usando MAX+II para compilar, simular e verificar um programa VHDL

Tutorial VHDL 3: Uso de MAX+II para compilar, simular y verificar un programa VHDL

En los dos tutoriales anteriores, aprendimos sobre los fundamentos y programas de VHDL. A continuación, simularemos y verificaremos programas VHDL.

Para editar, compilar, ejecutar (simular) o verificar un programa VHDL, existen requisitos que incluyen herramientas de software como:

  • XILINX ISE
  • ModelSim de Mentor Graphics
  • Aldec Riviera
  • Quartus-II de Altera, etc.

Todos estos son simuladores comerciales. Aquí usaremos el simulador MAX+II VHDL de Altera, diseñado para educadores y estudiantes.

Para comenzar, siga el procedimiento paso a paso para construir y simular el programa VHDL para cualquier circuito digital usando MAX+II.

Paso 1
Abra el software MAX+II desde el menú de inicio. La siguiente pantalla aparecerá en la pantalla de su computadora:

Paso 2:
Cree un nuevo archivo en el archivo -> nuevo menú. Se abrirá un nuevo cuadro de diálogo. Desde aquí, seleccione el archivo del editor de texto y haga clic en Aceptar.

Esto abrirá el editor de texto en el que puede escribir el programa VHDL.

Paso 3:
Comencemos con el sencillo programa de medio sumador. Escriba el siguiente código en el área del editor y haga clic en guardar.

ENTIDAD half_adder ES
PUERTO (a, b: EN BIT;
suma, llevar: FUERA BIT);
FINAL middle_adder;
half_adder_arch ARQUITECTURA DE half_adder ES
PARA COMENZAR
suma <= a xor b;
llevar <= ayb;
FINAL half_adder_arch;

Etapa 4:
Guarde el archivo del programa haciendo clic en el botón Guardar (o en el menú Archivo -> Guardar). Aparecerá el cuadro de diálogo para guardar. Seleccione el directorio y la carpeta apropiados (por ejemplo, aquí está: E:\vhdl_programs\half_adder)

Nota: Es recomendable crear una nueva carpeta para cada nuevo programa VHDL en el directorio. Además, NO debe haber espacios (““) en la ruta completa del programa VHDL.

Asigne al archivo el mismo nombre que el nombre de la entidad, " medium_adder " y seleccione la extensión .vhd como se muestra en esta captura de pantalla.

Después de guardar su trabajo, verá que todas las palabras clave como " ENTIDAD", "ES", "ARQUITECTURA ", etc. están todos resaltados.

Paso 5:
Entonces tendrás que configurar este proyecto como activo. Para hacer esto, vaya a archivo -> proyecto -> establecer proyecto en el archivo actual.

Notará que MAX+plus II establecerá el proyecto para el archivo actual en half_adder.

Paso 6:
Ahora compila el archivo compilador MAX+PLUS II – >. El compilador aparecerá en la pantalla. Presione iniciar para iniciar la compilación.

Cuando se complete la compilación, debería indicar 0 errores y 0 advertencias. Simplemente haga clic en Aceptar para completar la compilación.

Paso 7:
Ahora tenemos que crear un archivo más, que es un archivo de edición de formas de onda, que nos dará los resultados como formas de onda de entrada y salida. Para crear el archivo del editor de formas de onda, vaya a MAX+II –> editor de formas de onda.

Se abrirá la siguiente ventana del editor de formas de onda:

Paso 8:
Haga clic derecho en el área en blanco. Luego, en el menú emergente, seleccione "insertar nodo SNF".

Aparecerá el nuevo cuadro de diálogo. Primero, seleccione "lista" y luego el botón '=>' antes de hacer clic en Aceptar.

Verá todas las formas de onda de la señal de entrada y salida. ' a', 'b', 'suma' y ' carga' aparecerán en la ventana del editor de formas de onda similar a esta imagen.

Aquí, las líneas 'xx' indican las señales de salida que no puede editar. Pero puedes editar las señales de entrada 'a' y 'b'.

Paso 9:
Luego, aleje la forma de onda presionando el botón de alejar en el lado izquierdo de la pantalla.

Ahora ingrese los valores de 'a' y 'b' como '1' y '0' seleccionando el área (simplemente presione y mantenga presionado el botón del mouse para seleccionar el área). Asegúrese de seleccionar el valor 1 o 0 en el lado izquierdo.

Establezca los valores 1 o 0 de 'a' y 'b' como desee. Haga las cuatro combinaciones 00, 01, 10 y 11 como se muestra aquí:

Paso 10:
Guarde el archivo de forma de onda. De forma predeterminada, su nombre será " half_adder.swf ". Haga clic en Aceptar.

Paso 11:
Luego, acceda al simulador MAX+II – > para simular el trabajo aquí.

Haga clic en iniciar en el cuadro de diálogo del simulador. Cuando la simulación sea exitosa, aparecerá el cuadro de diálogo con 0 errores y 0 advertencias.

Paso 12:

Haga clic en Aceptar y abra el archivo del editor wavefrom para verificar el resultado del medio sumador.

Se debe seguir este procedimiento para editar, compilar, simular y verificar todos los programas VHDL.

El próximo tutorial cubrirá la simulación de diferentes circuitos digitales en VHDL cuando se usa MAX + II.

contenido relacionado

Regresar al blog

Deja un comentario

Ten en cuenta que los comentarios deben aprobarse antes de que se publiquen.