Tutorial VHDL 18: Diseño de un flip-flop T (con habilitación y una entrada alta de reinicio activo) usando VHDL

Nota: Se recomienda seguir esta serie de tutoriales de VHDL en orden, comenzando con el primer tutorial .

En el tutorial anterior, Tutorial VHDL – 17, diseñamos un circuito flip-flop JK usando VHDL.

Para este proyecto, haremos:

  • Escriba un programa VHDL para construir el circuito flip-flop T
  • Verifique la forma de onda de salida del programa (el circuito digital) con la tabla de verdad del flip-flop.

El flip-flop T con un circuito de entrada de reinicio y habilitación alta activa:

Mesa de la verdad

  • Nota 1: cuando T = 1, la salida Q siempre alterna (de 0 a 1 y de 1 a 0)
  • Nota 2: cuando T=0, la salida Q mantiene su estado anterior

Ahora escribamos, compilemos y simulemos un programa VHDL. Luego obtendremos la salida de la forma de onda y la verificaremos con la tabla de verdad proporcionada.

Antes de comenzar, asegúrese de revisar el procedimiento paso a paso proporcionado en el Tutorial VHDL – 3 para diseñar el proyecto. Esto asegurará que edite y compile correctamente el programa y el archivo de forma de onda, incluido el resultado final.

Aquí. Usamos un estilo de modelado de comportamiento para escribir el programa VHDL y construir este circuito flip-flop porque es el modelo preferido para circuitos digitales secuenciales.

Programa VHDL

biblioteca ieee;
utilice ieee.std_logic_1164.all;
entidad T_flip_flop es
puerto (clk,t,en,rst: en std_logic;
P: fuera std_logic;
Qnot: fuera std_logic);
finalizar T_flip_flop;
La arquitectura TFF_arch de T_flip_flop es
operación de señal: std_logic;
para comenzar
proceso(clk, primero) es
para comenzar
if(en='0′) entonces op<='Z';
elsif (en='1′ y rst='1′) entonces
operación <= '0';
elsif (clk'event y clk='1′ y en='1′) entonces
si(t='1′) entonces op <= no op;
más op <= op;
terminara si;
terminara si;
fin del proceso;
Q <= op;
Qnot <= no operativo;
finalizar TFF_arch;

Para refrescar su memoria sobre cómo funciona esto, lea los dos primeros tutoriales de VHDL ( 1 y 2 ) de esta serie.

Luego compila el programa anterior, creando y guardando un archivo de forma de onda con todas las entradas y salidas necesarias enumeradas (y asegúrate de aplicar todas las diferentes combinaciones de entradas). Luego simule el proyecto. Deberías obtener el siguiente resultado...

Como se muestra en esta figura, tres casos están resaltados en rojo, azul y verde.

  • Caso 1: cuando en=0 -> ambas salidas están en alta impedancia
  • Caso 2: cuando en=1 y rst=1 -> Q=0 y Qnot = 1 (el flip-flop se reinicia)
  • Caso 3: cuando en=1, rst=0 y clk=1 y T=1 – > Q = 1 y Qnot = 0 (la salida alterna entre 0-1)

Asegúrese de comparar las diferentes combinaciones de entrada y salida con la tabla de verdad del circuito.

En el próximo tutorial, aprenderemos cómo construir un contador binario de 4 bits usando VHDL.

contenido relacionado

Regresar al blog

Deja un comentario

Ten en cuenta que los comentarios deben aprobarse antes de que se publiquen.